User:Voidflow/Chisel

维基百科,自由的百科全书
Constructing Hardware in a Scala Embedded Language (Chisel)
编程范型Multi-paradigm: concurrent, functional, imperative, object-oriented
语言家族Scala
實作者University of California, Berkeley
发行时间2012年6月,​11年前​(2012-06
型態系統Inferred, static, strong, structural
作用域Lexical (static)
實作語言Scala
系统平台Java virtual machine (JVM)
JavaScript (Scala.js)
LLVM (Scala Native) (experimental)
網站www.chisel-lang.org

以嵌入式 Scala 语言构建硬件,缩写为 Chisel, [1]是一种开源硬件描述语言(HDL),用于在寄存器传输级描述数字电路和电子组件。 [2] [3] Chisel 的底层基于 Scala 构建,是为嵌入式领域特定语言(DSL)。 Chisel 继承了 Scala 的面向对象函数式编程方面来描述数字硬件。使用 Scala 作为基础可以描述电路生成器。高质量、免费的文档有多种语言版本。 [4] [[Category:加利福尼亞州科技]] [[Category:硬件描述语言]] [[Category:加利福尼亞大學柏克萊分校]]

  1. ^ Bachrach, J.; Vo, H.; Richards, B.; Lee, Y.; Waterman, A.; Avižienis, R.; Wawrzynek, J.; Asanović, K. Chisel: constructing hardware in a Scala embedded language. Proceedings of the 49th Annual Design Automation Conference (DAC 2012). San Francisco, California, USA: Association for Computing Machinery (ACM): 1216–25. June 2012. ISBN 978-1-4503-1199-1. doi:10.1145/2228360.2228584. 
  2. ^ Chisel. people.eecs.berkeley.edu. California, U.S.: University of California, Berkeley. [2020-07-08]. (原始内容存档于2021-10-16). 
  3. ^ Bachrach, Jonathan (编). Chisel: Accelerating Hardware Design (PDF). RISC-V. California, U.S.: RISC-V International. 
  4. ^ Schoeberl, Martin. Digital Design with Chisel 2nd. Kindle Direct Publishing. August 30, 2019. ISBN 978-1689336031 (英语、中文、日语及越南语).